展會信息港展會大全

孫凝暉院士:集成芯片引領(lǐng)高性能計算革命
來源:互聯(lián)網(wǎng)   發(fā)布日期:2023-09-12 13:10:03   瀏覽:16766次  

導讀:作者 | 明明如月 責編 | 夏萌 出品 | CSDN(ID:CSDNnews) 2023 年 8 月24 日到 8 月26 日,由中國計算機學會主辦,中國計算機學會高性能計算專業(yè)委員會、中國海洋大學、青島海洋科技中心、齊魯工業(yè)大學(山東省科學院)共同承辦,青島高新技術(shù)產(chǎn)業(yè)開發(fā)區(qū)管...

作者 | 明明如月 責編 | 夏萌

出品 | CSDN(ID:CSDNnews)

2023 年 8 月24 日到 8 月26 日,由中國計算機學會主辦,中國計算機學會高性能計算專業(yè)委員會、中國海洋大學、青島海洋科技中心、齊魯工業(yè)大學(山東省科學院)共同承辦,青島高新技術(shù)產(chǎn)業(yè)開發(fā)區(qū)管理委員會、青島國實科技集團有限公司、山東省計算中心(國家超級計算濟南中心)、中北大學、北京并行科技股份有限公司共同協(xié)辦的 “算力互聯(lián)智領(lǐng)未來” 2023 年 CCF 全國高性能計算學術(shù)年會(CCF HPC China 2023)在青島的中國紅島國際會議展覽中心舉辦。

與會期間,9 位中外院士齊聚,11 場特邀報告、6 場產(chǎn)業(yè)報告、46 場主題論壇、30 余場特色活動、4 場“2023 中國超算最佳應(yīng)用”入圍作品展示等精彩紛呈。

其中,中國科學院學術(shù)委員會副主任、中國科學院計算技術(shù)研究所學術(shù)委員會主任、中國科學院大學計算機科學與技術(shù)學院院長、中國工程院孫凝暉院士通過《集成芯片與高性能計算》報告,詳細介紹了集成芯片的概念、意義及面臨的科學問題,以及集成芯片如何推動高性能計算的發(fā)展,實現(xiàn)從億級到 Z 級的計算能力提升。

院士簡介:

中國科學院學術(shù)委員會副主任、中國科學院計算技術(shù)研究所學術(shù)委員會主任、中國科學院大學計算機科學與技術(shù)學院院長、中國工程院孫凝暉院士

精彩觀點搶先看:

集成芯片通過二次集成提高晶體管的總量來降低大規(guī)模復雜系統(tǒng)芯片設(shè)計和制造的成本;

集成芯片可能會帶來芯片設(shè)計范式的變革,從自下而上的堆疊法轉(zhuǎn)變?yōu)樽陨隙碌臉?gòu)造法;

集成芯片面臨的科學問題包括:芯粒的數(shù)學描述和組合優(yōu)化理論、大規(guī)模芯粒帶來新的并行架構(gòu)和設(shè)計自動化方法、以及多物理場的耦合問題;

通過集成芯片,可以擴大縱核的規(guī)模到萬級,從而讓集成度進一步提高一個數(shù)量級,最終達到 Z 量級的計算能力。只有掌握了標準,我們才能建立起完善的生態(tài)系統(tǒng)。有了這樣的生態(tài)系統(tǒng),我們才能夠持續(xù)推進芯片的成本和技術(shù)發(fā)展。

報告詳情:

芯片是提升算機系統(tǒng)性能的主要動力源

芯片作為一項核心的器件,無疑是提升計算機系統(tǒng)性能的主要動力源。在構(gòu)建高性能計算機的過程中,我們基本上依賴于兩個關(guān)鍵因素:一是硬件器件,特別是以芯片為核心的部分;二是其可擴展的體系結(jié)構(gòu)。在過去幾年里,我們已經(jīng)在體系結(jié)構(gòu)方面取得了顯著的進展。然而,目前越來越明確的是,芯片性能正逐漸成為進一步提升計算機性能的決定性要素。為了具體說明這一點,我在此列舉了幾臺曾經(jīng)名列全球 TOP 500 的計算機,這些計算機顯然證明了芯片工藝和集成度在提升系統(tǒng)性能方面的重要作用。例如,當芯片工藝從 14 納米提升到 7 納米時,其性能得以明顯提升。同樣地,若將集成度從 80 個晶體管提升至接近 400 個晶體管,性能則能得到進一步的提升。

接下來,我想特別介紹一款近期備受矚目的芯片,即 Nvidia 的 GH200。這款芯片具有眾多優(yōu)勢。從系統(tǒng)層面來看,它主要采用了超算領(lǐng)域內(nèi)已經(jīng)成熟的技術(shù)。其芯片能力有著顯著的提升,具有 72 個 AM,再加上其 GPU 核心。通過使用 Nvidia 自家研制的高性能 NVLink 進行互聯(lián),該芯片的計算能力達到了驚人的 4 PetaFLOPs,即 4000 萬億次的計算能力,這是遠遠超過以往的一個水平。

為了更好地理解芯片與計算機性能之間的關(guān)系,我們不妨回顧一下歷史。

最初,計算機是基于電子管構(gòu)建的。電子管壽命較短,因此只能支撐有限數(shù)量的加法器,這限制了第一臺通用計算機的性能。電子管的開關(guān)性能也相對較低,因此,其浮點運算性能大約僅為幾百到上千 FLOPs。

然后,隨著晶體管的出現(xiàn),這種局面得到了顯著改善。晶體管具有更長的使用壽命,使得在更小的電路板上可以集成更多的晶體管。正是由于這種硬件的進步,計算機開始逐漸從實驗室走向商業(yè)應(yīng)用。

進一步來說,集成電路技術(shù)的出現(xiàn)進一步推動了這一進程。由于大量的晶體管能在單一芯片中集成,IBM 的 System 360 就這樣應(yīng)運而生。這是人類歷史上第一款算力能夠達到百萬量級的計算機系列。更為重要的是,集成電路技術(shù)使得計算機能夠按照“家族”或“系列”進行生產(chǎn),這樣,產(chǎn)業(yè)規(guī)模得以擴大。

我們正處于大規(guī)模集成電路的時代,這一時代已經(jīng)延續(xù)了大約 35 年,也標志著摩爾定律的開始。從此時代開始,計算機性能的提升一方面依賴于芯片和集成電路工藝的進步,另一方面則依賴于體系結(jié)構(gòu)的改善。在這一背景下,我們的計算設(shè)備不僅覆蓋了高端的計算機和服務(wù)器,而且也滲透到了個人電腦中。如今,我們進入了系統(tǒng)級芯片(SoC)的時代,即在一個更大的芯片內(nèi)集成了更多的功能模塊。

隨著三維集成電路技術(shù)的應(yīng)用,我們對生產(chǎn)設(shè)備的依賴進一步加深,如現(xiàn)在必須依賴 EUV 光刻機來實現(xiàn)三維集成電路的微型化。與此同時,片內(nèi)的微體系結(jié)構(gòu)也得到了進一步的并行化,單個芯片上的核心數(shù)量已經(jīng)從過去的一個增加到現(xiàn)在的幾百個,甚至達到了上千個。這種技術(shù)進步不僅促進了高性能計算,還催生了智能手機和移動互聯(lián)網(wǎng)時代。

未來一代的基礎(chǔ)構(gòu)建技術(shù)將如何演變?

接下來,值得我們深入探討的是,從現(xiàn)在開始,未來一代的基礎(chǔ)構(gòu)建技術(shù)將如何演變?芯片將呈現(xiàn)何種形態(tài)?除了繼續(xù)推動高性能計算機的發(fā)展,是否還將出現(xiàn)一個更加多樣化的計算系統(tǒng)生態(tài)?

進一步地,我想引入“集成芯片”的概念。在英文翻譯方面,CCF 的集成電路專業(yè)委員會將其翻譯為“Integrated Chips ”,但這個詞是否準確,還有待討論。

通常來說,提高芯片性能主要有以下幾個途徑

首先,便是大家耳熟能詳?shù)哪柖,即通過縮小晶體管的特征尺寸,使得在同等面積的芯片上可以集成更多的晶體管。這樣一來,就能借助體系結(jié)構(gòu)的優(yōu)化手段來進一步提高性能。據(jù)國際集成電路協(xié)會的預(yù)測,這一途徑至少可以持續(xù)到 2035 年,到達 0.1 納米。雖然業(yè)界一直在預(yù)言摩爾定律即將終結(jié),但事實上,其依然在發(fā)展,盡管提高性能的速度已經(jīng)在減緩。當然,我們也必須面對 EUV 光刻技術(shù)的長期難題,這對實現(xiàn) 7 納米以下的先進工藝來說是一大挑戰(zhàn)。

其次,從長遠角度看,提高性能的另一個方式是增加更多的核心。然而,這一做法受到 EUV 光照面積的制約。當前,EUV 的最大光照面積限制在 858 平方毫米。由于這一制約,同等面積下不同工藝節(jié)點的性能差異將達到一個數(shù)量級。這實際上是一個無法逾越的瓶頸。再者,為了提高性能,我們需要在芯片內(nèi)部增加集成度。只有當集成度得到提升,微體系結(jié)構(gòu)的優(yōu)化手段才能得以實施。除了上述的最大面積問題,還有一個關(guān)鍵因素需要考慮,那就是良率。在先進工藝階段,良率逐漸下降,從而導致投資和收益比也在下降。比如,要實現(xiàn) 400 核的芯片,其良率已經(jīng)降到了 60% 以下,這無疑會導致成本翻倍,從而阻礙了產(chǎn)業(yè)的規(guī);。

最后,為了提高性能,我們還需要考慮采用新的原理器件。一些前沿研究正在考慮使用非硅材料,如碳或新的二維材料,以及超導晶體管等。然而,這些新型器件大多數(shù)還處于研究階段,主要用于支持特定應(yīng)用,而難以替代當前的通用器件。

我們認為集成芯片很可能成為提高芯片性能的第三種途徑。傳統(tǒng)芯片在二維平面上制造,而現(xiàn)在晶體管已經(jīng)能夠?qū)崿F(xiàn)三維布局。整個集成電路的工藝仍然基于平面工藝,也就是在一個平面上集成更多的晶體管。因此,集成芯片的概念將集成過程分為兩個階段。第一次集成在二維平面上進行,而第二次則利用不需要最先進制造工藝的二維、2.5 維或三維集成,通過二次集成提高晶體管的總數(shù)量,從而降低大規(guī)模復雜系統(tǒng)芯片設(shè)計和制造的成本。

這個概念大約在 2010 年左右被提出,當時臺積電的蔣尚義使用了先進封裝的概念。他將兩個芯片通過封裝的方式放在一起。封測行業(yè)和集成電路制造行業(yè)是兩個不同的行業(yè),一個企業(yè)要么從事制造行業(yè),要么從事封測行業(yè)。而臺積電是一家制造行業(yè)的企業(yè),因此他提出了封裝的概念。2015 年,美國 Marvell 公司提出了模塊化芯片的概念,引入了芯粒這個術(shù)語。2019 年,臺積電正式將集成芯片作為其產(chǎn)品規(guī)劃的主要組成部分。在我國,2021 年,在基金委的引導下,這個概念被凝練為"集成芯片",作為一個新的研究領(lǐng)域,在雙新論壇上成為基金委今年發(fā)布的重大研究計劃。

如今,市場上也出現(xiàn)了許多基于集成芯片概念開發(fā)的產(chǎn)品。其中較多的是在第三個階段,即利用先進封裝或者新技術(shù)將現(xiàn)有芯片進行耦合。我們認為,如果集成芯片能夠成為一個可信賴的產(chǎn)業(yè)界支持大規(guī)模應(yīng)用的技術(shù),其內(nèi)涵將比集成更加豐富。這里只舉了處理器的例子,實際上集成芯片的內(nèi)涵應(yīng)該包括分解、組合和集成三個部分,而每個部分都面臨著自身的科學問題和技術(shù)挑戰(zhàn)。我將在后面更詳細地闡述這些內(nèi)容。

美國的學術(shù)界也比較早地開始發(fā)展這一技術(shù)。DARPA 在 2017 年和 2018 年連續(xù)布置了兩個重大研究計劃,分別是 CHPIS 和 3DSoC。CHPIS 主要研制可復制、可復用的閾值件,而 3DSoC 則利用三維集成技術(shù)推動前瞻性研究。

產(chǎn)業(yè)界也幾乎同時起步。最早的集成芯片產(chǎn)品是 Xilinx 的 FPGA,蔣尚義在進行先進封裝時利用臺積電的工藝,制造了 4 顆 FBGI 芯片,并通過先進封裝將它們封裝在一起,形成一顆更大的芯片。現(xiàn)在,許多企業(yè)都基于這項新技術(shù)開發(fā)了相當多的產(chǎn)品,包括華為、英偉達等。對于超算領(lǐng)域以及最著名的就是英特爾為 HPC 研制的特定GPU。該芯片于 2021 年推出,擁有 47 億個超大規(guī)模的晶體管,應(yīng)該是世界上集成度最高的芯片。它采用了三維堆疊的集成方式,被用于構(gòu)建 200 億次超級計算機 Aurora 。因此,我們可以看到器件技術(shù)正在朝著集成芯片的方向發(fā)展。

目前,產(chǎn)業(yè)界大致采用三種方法。首先,最直觀的是同構(gòu)連接,這種方法相對簡單,但擴展性較差。一般而言,市面上最多能實現(xiàn) 4 個芯粒的集成,這是傳統(tǒng) SOC 設(shè)計的芯粒化方式。第二種方法是以特斯拉的 DOJO 芯片為主,它的規(guī)?梢詳U展得比較大,但跳步數(shù)較多,因此在規(guī)模較大的情況下,會增加延遲。目前還有一種方法是以 AMD 為主,將 IO 放在中心,圍繞存儲芯粒設(shè)計。一般而言,采用這種架構(gòu)可以實現(xiàn) 8-16 個芯粒的可擴展性。這三種方法是目前主要的思路,它們與過去在一個芯片中集成多個 HPC 的思路相似。

對于我國而言,發(fā)展集成芯片變得更加迫切。而對于歐美來說,他們牢牢掌握著尺寸萎縮技術(shù)的主導權(quán),他們會先利用已有成熟技術(shù)進行開發(fā),直到將其發(fā)展到新的前沿技術(shù)。由于我國存在制造方面的瓶頸,我們迫切想知道,是否可以通過使用 7 納米工藝和 2.5 封裝來實現(xiàn)與 5 納米相當?shù)男阅。我們還想知道,使用 3D 封裝的 14 納米工藝是否可以等效于一個 5 納米的芯片。這對我們來說是一件非常迫切的問題。

此外,在智能時代,芯片的種類將大幅增加。我們過去的 CPU 設(shè)計企業(yè)數(shù)量僅有十家就屬不錯,而現(xiàn)在已經(jīng)增加到幾十家。許多互聯(lián)網(wǎng)企業(yè)和行業(yè)公司都在自行開發(fā)處理芯片,因此對定制芯片的需求非常大。如果我們?nèi)匀徊捎脗鹘y(tǒng)的芯片設(shè)計流程、設(shè)計工具和制造工藝,將會面臨設(shè)計成本高、產(chǎn)品研發(fā)周期長以及市場投入成本高的問題。這無法滿足新企業(yè)的設(shè)計需求,為新的技術(shù)路線帶來市場推動力。

因此,這個技術(shù)所帶來的變革不僅僅在于提升芯片速度,我們認為更可能引發(fā)芯片設(shè)計范式的變革。這是一種科研范式的變革。過去,我們在芯片設(shè)計中采用自下而上的方法,從晶體管到功能,一直自下而上。無論是 CPU 還是GPU,其設(shè)計流程和工具都是自下而上的。而現(xiàn)在,這給了我們一個機會,將自上而下和自下而上的工具鏈有效結(jié)合起來。對我國來說,這可能更為重要。我國的電動汽車發(fā)展迅猛,但我們基本上依賴國外的高端芯片。這些芯片需要經(jīng)過審批。因此,我國有一些企業(yè),包括電動車企業(yè),也希望能夠研制自己的芯片。

下面給出了一個可能的方案,該方案顯然比使用 5 納米方案更為復雜。首先,工藝變得復雜,集成方法也變得復雜。在一個芯片中,除了有源器件外,還有無源器件。除了硅基集成,還有硅基板的集成。然而,這是一種可以替代目前成熟方法的新途徑。

今年,基金委設(shè)立了一個重大研究計劃,每年只有兩三個。為什么在芯片領(lǐng)域,一個看起來非常工程化的產(chǎn)業(yè),產(chǎn)業(yè)現(xiàn)在都大量投入,何以成為基金委的重大研究計劃呢?我們認為,成熟產(chǎn)業(yè)的發(fā)展需要相應(yīng)的成熟技術(shù)支持,不僅僅面臨一臺機器,我們需要通過工程方法找到可行的解決方案。因此,要將其發(fā)展為成熟產(chǎn)業(yè),需要解決許多科學問題和技術(shù)挑戰(zhàn)

我剛才已經(jīng)提到過的一個問題是設(shè)計范式。過去的方法是堆疊法,我們的設(shè)計工具和制造對象也是如此。因此,在堆疊法的設(shè)計方法下,先進工藝的制造商主導著整個產(chǎn)業(yè),過去是 IBM,后來是英特爾。它們的工藝先進,主導了 CPU 產(chǎn)業(yè),F(xiàn)在,像 TMC 這樣的公司擁有先進工藝,它們主導著集成電路產(chǎn)業(yè)。

但是,如果我們將這種設(shè)計范式改為構(gòu)造法呢?將這個過程分解為分解、組合和集成三個步驟。分解是什么意思?如何以最優(yōu)的方式設(shè)計芯粒的種類,即以最少的種類涵蓋最廣泛、最通用的功能范圍,這就涉及到最優(yōu)功能分解的問題。其中包含許多數(shù)學問題,如連接度和物理上的多尺度耦合的變化。同時,在完成特定功能的芯粒組合時,我們過去設(shè)計多核芯片時都采用同構(gòu)設(shè)計,但在智能時代,許多芯片是異構(gòu)的,因此需要進行高效的組合。最后還有一個集成問題,這涉及到物理層面的問題,這樣我們就可以將自下而上的構(gòu)造轉(zhuǎn)變?yōu)樽陨隙碌臉?gòu)造方法。

其中一個科學問題是芯粒的數(shù)學描述和組合優(yōu)化理論。我們知道,在設(shè)計芯片時,我們的數(shù)學基礎(chǔ)是布爾代數(shù)和符號邏輯,因此我們現(xiàn)在的 EDA 工具可以進行預(yù)先規(guī)劃和計算。但是,如何用數(shù)學公式描述一個芯粒呢?這就需要新的數(shù)學工具,我們認為可能的方法是使用函數(shù)論來描述芯粒,而組合優(yōu)化方法可以成為一種新的設(shè)計范式的數(shù)學工具。其中涉及一些研究問題,我就不再詳述了。

第二個問題涉及大規(guī)模芯粒的并行架構(gòu)和設(shè)計自動化方法。目前我們考慮了核間的并行,進一步上升至系統(tǒng)間的并行,而系統(tǒng)和核之間則引入了多芯粒的并行。這其中存在一個組合問題,我們的設(shè)計已經(jīng)考慮了 PPI 結(jié)構(gòu),并且EDA 的設(shè)計空間探索變得非常復雜。現(xiàn)在,我們又增加了一個維度。我們知道,每增加一個維度,設(shè)計空間探索的復雜性就會提高好幾個數(shù)量級。在這種情況下,如果我們想要使用自動化工具進行設(shè)計,不僅需要提升布線的能力,還需要一些工具來輔助整個電源的設(shè)計。我在下面列出了一些涉及設(shè)計工具的新難點。

第三個問題是由于芯粒的制造采用的是制造工藝而不是封裝工藝,因此會出現(xiàn)許多耦合問題。在過去的芯片制造中,由于芯片需要散熱,我們可以使用微觀的波爾曼方程以及宏觀的擴散理論進行模擬。然而,隨著新的芯粒的出現(xiàn),它們具有熱、電、磁甚至機械等多種混合結(jié)構(gòu),體積較大。因此,熱和力的耦合會引發(fā)非均勻性和不平整性等問題,從而使得多物理場的計算比以前復雜得多。這將帶來全新的科學問題,包括信號完整性和功耗等方面的挑戰(zhàn)。

此外,我們提出了十個技術(shù)挑戰(zhàn),其中包括從抽象到體系結(jié)構(gòu)的轉(zhuǎn)化,以及如何進行萬億晶體管的仿真、信號完整性和容錯等問題。這些都是目前尚未解決的科學技術(shù)挑戰(zhàn)。為此,我們今年發(fā)布了重大研究報告的第一批指南,并希望能夠得到更多學術(shù)界同仁的共同努力,攻克這些技術(shù)難題。

實踐探索

最后,介紹一下我們計算所在這個領(lǐng)域的探索。我提到未來的計算能力提升可能更多依靠兩極集成。目前我們在一級計算,即億-Z 級別,很可能芯粒集成是達到 Z 級的技術(shù)路線。我舉了 FRONTIER 項目作為例子,如果我們繼續(xù)按照這個技術(shù)路線發(fā)展,假設(shè)集成電路工藝可以進一步改進,根據(jù)最大光照的限制,按照這個路線,其算力天花板大約在 10 億 FlOPs,而我們現(xiàn)在大約在 2-3 億 FlOPs,所以我們還可以進一步發(fā)展一代,利用現(xiàn)有技術(shù)路線可以實現(xiàn)。但是,再往后走就會受到這個限制。

使用集成芯片對高性能計算帶來了一些好處。首先,我們提到了 IO 帶寬的限制,剛才也強調(diào)了數(shù)據(jù)的重要性。很直觀地,通過多芯粒集成,IO 的廣角相比過去增加了一個數(shù)量級。當然,IO 的廣角數(shù)增加了,帶寬也提高了,這是相對容易理解的。目前,我們已經(jīng)使用集成芯片實現(xiàn)了一些高帶寬,可以達到 TB 級,進一步提高 10 倍。

其次是降低通信延遲,F(xiàn)在核心數(shù)量增加后,核心之間需要保持一致性。目前基本上采用大規(guī)模并行,甚至幾百個核心使用 cc-numa 方式,其延遲大約為 100 納秒。如果我們使用硅基板進行集成,我們可以將這個延遲降低到納秒級別,這樣我們就可以在 cc-numa 框架下實現(xiàn)更大規(guī)模的計算。目前,我們大約可以實現(xiàn)千核左右的集成度,進一步將其提高到萬核。

還有一個好處是更容易引入光互聯(lián)。因為現(xiàn)在光子集成和電子集成可以在同一個芯片上實現(xiàn),盡管這仍然存在一定難度,但我們已經(jīng)有了一些可以實現(xiàn)的技術(shù)。在集成芯片的制造工藝下,我們可以將光子芯片和電子芯片進行集成。舉個例子,我們可以在芯片的四邊都放置光子芯片,這樣就可以實現(xiàn)從集成芯片出光的角度。我們一直希望將光引入芯片內(nèi)部,但一直無法實現(xiàn),光線都是放在外面。這給全光互聯(lián)帶來了一個可能性。

第三個方面是通過一極硅基板和一極有機基板,將縱向核的規(guī)模擴大到萬級。目前我們的實驗使用了 2.5D 集成的4 英寸硅基板,在當前技術(shù)條件下,我們可以實現(xiàn) 3000 個核的集成,離萬核還有一定的距離,但這是可以逐步發(fā)展的,這將進一步提高我們的集成度一個數(shù)量級。

正如剛才所提到的,10 億是一個天花板,我們希望通過集成的方式實現(xiàn)從 10 億到 Z 級的演進。第一級是將芯粒做到百核,硅基板做到萬核,硅基板再擴展到百萬核,然后邁向第四級,將其擴展到整個系統(tǒng),最終實現(xiàn)Z級規(guī)模。

我們也進行了一些前沿研究,以探索這種芯片能夠?qū)崿F(xiàn)多少核的規(guī)模。我們首先進行了一個小規(guī)模的實驗,使用了163 個芯粒進行 256 核的設(shè)計。雖然規(guī)模較小,只有 4000 萬,但它證明了當核數(shù)達到 256 時會出現(xiàn)哪些新問題。例如,互聯(lián)結(jié)構(gòu)需要提高 10 倍的密度和復用性能。此外,溫度控制也是一個挑戰(zhàn),由于規(guī)模較大,散熱面積不均勻,因此需要在芯片內(nèi)部引入冷卻液,并進行微流道的精細控制。這也是實現(xiàn)大規(guī)模芯片所需的新技術(shù)。我們計劃進一步將這些核替換為香山高處理器的核,將其規(guī)模再提高一個數(shù)量級,并在這個結(jié)構(gòu)中進行多極一致性劃分的驗證,以確定這種結(jié)構(gòu)是否適用于萬核規(guī)模。

展望未來,我們希望能夠?qū)崿F(xiàn) 256 核或 400 核的大芯粒級別,達到萬核的大規(guī)模芯片。這樣的芯片不僅可以媲美當前大型機柜的計算能力,還可以成為通用的產(chǎn)業(yè)級使能芯片,為現(xiàn)代智能計算機領(lǐng)域提供基礎(chǔ)支持。

此外,一個產(chǎn)業(yè)的成熟還需要制定標準,這需要更多企業(yè)的共同支持來推動該行業(yè)的發(fā)展。因此,我們認為接口在其中起著非常重要的作用。為此,聯(lián)合國內(nèi)大約 12 家單位共同制定了芯;ヂ(lián)的標準,這一標準目前已成為國家電子化協(xié)會的團體標準。該標準涵蓋了計算到存儲、存儲到 IO 以及光學芯粒等四種場景,包括了 MCM、CoRos、CoWoS 和 Silicon Bridge 這四種封裝方式以及三種協(xié)議接口。此外,它還兼容了英特爾的 CXL 等協(xié)議。對此感興趣的人可以進一步了解。我們希望通過 Chiplet 這個組織,能夠?qū)⑦@一標準進一步推廣至國際范圍。

目前,我們面臨的主要競爭來自英特爾推出的 USIE 標準。它涵蓋了物理層標準和 CX 協(xié)議,因此在標準層面的競爭也非常激烈。只有掌握了標準,我們才能建立起完善的生態(tài)系統(tǒng)。有了這樣的生態(tài)系統(tǒng),我們才能夠持續(xù)推進芯片的成本和技術(shù)發(fā)展。這將使高性能計算機不僅能夠構(gòu)建出最快的機器,也將促進產(chǎn)業(yè)的持續(xù)發(fā)展。

贊助本站

人工智能實驗室
相關(guān)內(nèi)容
AiLab云推薦
推薦內(nèi)容
展開

熱門欄目HotCates

Copyright © 2010-2024 AiLab Team. 人工智能實驗室 版權(quán)所有    關(guān)于我們 | 聯(lián)系我們 | 廣告服務(wù) | 公司動態(tài) | 免責聲明 | 隱私條款 | 工作機會 | 展會港